Zynq-7000

Comisión Nacional de Energía Atómica (CNEA) - Instrumentación y Control

organismo

Buenos Aires - Ezeiza
Xilinx Microchip Spartan-3 Spartan-6 Virtex-4 Zynq-7000 Microzed Zedboard Artix-7 Arty Proasic3 ISE Vivado Libero-SoC

Universidad de Buenos Aires - Facultad de Ingeniería (FIUBA)- Laboratorio de Sistemas Embebidos (LSE)

universidad

CABA - CABA
Xilinx ZedBoard Arty Zynq-7000 Spartan-7 Artix-7 Nexys 2 VHDL Verilog System Verilog Xilinx HLS Vivado ISE Core Generator Vivado HLS ghdl iverilog gtkwave hdlmake

Instituto Nacional de Tecnología Industrial (INTI) - Centro de Micro y Nanotecnologías (CMNT)

organismo

Buenos Aires - San Martín , Bahía Blanca
Xilinx Intel Microchip Lattice Spartan-2 Spartan-3 Spartan-6 SP601 LX9 MicroBoard Virtex-2 Virtex-4 Virtex-5 Virtex-6 ML605 Zynq-7000 Zybo ZC706 CIAA-ACC Cyclone-V DE10-nano Cyclone-IV DE0-nano MAX-10 SmartFusion2 iCE40 iCEstick Ice Breakout EDU-CIAA-FPGA Placas Custom VHDL Verilog Xilinx HLS ISE Vivado Vitis Vivado HLS Quartus Libero-SoC IceCube2 Synplify Modelsim GHDL iVerilog Verilator GtkWave Yosys next-pnr icestorm Symbiyosys cocotb PyFPGA

Instituto Nacional de Tecnología Industrial (INTI) - Departamento de Comunicaciones

organismo

Buenos Aires - San Martín
Xilinx Intel Microchip Virtex-5 Virtex-6 ML605 Zynq-7000 CIAA-ACC VHDL Verilog ISE Vivado Quartus Modelsim cocotb GHDL iVerilog GTKwave HDLmake

INVAP S.E.

empresa

Río Negro , Córdoba - Bariloche , Córdoba
Xilinx Microchip Xilinx series anteriores Xilinx Serie 6 Xilinx Serie 7 Zynq-7000 Xilinx MPSoC UltraScale UltraScale+ RTAX RTG4 VHDL Verilog ISE Vivado Libero-SoC

Novo Space

empresa

CABA - CABA
Xilinx Zynq-7000 Zynq-UltraScale+ placas custom VHDL Vivado Libero-SoC Modelsim

Universidad Nacional de la Patagonia Austral (UNPA) - Grupo de Investigación en Optoelectrónica Aplicada (GIOA)

universidad

Santa Cruz - Río Gallegos
Xilinx Arty Zynq-7000 VHDL Vivado

Universidad Nacional de San Luis (UNSL) - Facultad de Ciencias Físico, Matemáticas y Naturales (FCMyN) - Departamento de Electronica

universidad

San Luis - San Luis
Xilinx Intel Microchip Zynq-7000 Zedboard Zybo Virtex Nexys-3 Nexys-4 Artix-7 UltraScale SmartFusion DE0 Nano DE1 VHDL Xilinx HLS SystemC ISE Vivado Vivado HLS Quartus Libero-SoC Modelsim System Generator